aboutsummaryrefslogtreecommitdiffstats
path: root/firmware/tsp_melfas/gc/A_PUBL.fw.ihex
diff options
context:
space:
mode:
Diffstat (limited to 'firmware/tsp_melfas/gc/A_PUBL.fw.ihex')
-rw-r--r--firmware/tsp_melfas/gc/A_PUBL.fw.ihex144
1 files changed, 144 insertions, 0 deletions
diff --git a/firmware/tsp_melfas/gc/A_PUBL.fw.ihex b/firmware/tsp_melfas/gc/A_PUBL.fw.ihex
new file mode 100644
index 0000000..5700fd2
--- /dev/null
+++ b/firmware/tsp_melfas/gc/A_PUBL.fw.ihex
@@ -0,0 +1,144 @@
+:100000003B4D454C4641532062696E6172792066D2
+:10001000696C650A5B47656E6572616C20496E6646
+:100020006F726D6174696F6E5D0A43484950203D7F
+:10003000204D4D53313030410A0A5B53656374697A
+:100040006F6E20496E666F726D6174696F6E5D0AC6
+:1000500053454354494F4E5F4E414D45203D20503E
+:1000600055424C0A53454354494F4E5F56455253EF
+:10007000494F4E203D20360A53544152545F50415F
+:1000800047455F41444452203D2032390A454E44A1
+:100090005F504147455F41444452203D2033300A80
+:1000A000434F4D50415449424C455F534543544999
+:1000B0004F4E5F4E414D45203D20434F52450A4330
+:1000C0004F4D50415449424C455F56455253494F5C
+:1000D0004E203D2034350A0A5B42696E6172795DBB
+:1000E0000AAEF11D3048314D353442504D064106BF
+:1000F000532D4844000043476410480001010A287A
+:100100001A0000001A00000E0000000E0A00010193
+:1001100005000000000002D06002020001016060E2
+:1001200000620000001E004132320307010100108E
+:1001300002300004020203140300000006000F0551
+:10014000FF6A0005F60A1E141005000829A2002007
+:10015000000003310015001E013C0000001E009647
+:1001600010010102004600960103004600060A0144
+:10017000070A00640168065480007FFF0101000146
+:1001800002300004020203140300000007000F0500
+:10019000FED40006F60A1E142307F60A29A2001E42
+:1001A00000000331001E0028013C0A0F001E0096CB
+:1001B00014010102004600960105004600060A01EE
+:1001C000070A00640168065480007FFF01000010E8
+:1001D000050403020C0B0A06100F0E0D1413121166
+:1001E0001B1A19151F1E1D1C000000000000000036
+:1001F0000000000003020100070605040D0C0B08B7
+:1002000000000F0E000000000000000000000000D1
+:1002100000000000000000000303000002020303CE
+:10022000020202020402020204040403040404049D
+:1002300005050504000000000000000000000000AB
+:100240000303030302020202020202020303030287
+:100250000404040305040404000005050000000074
+:100260000000000003030000020203030202020276
+:100270000302020203030303040404030505040448
+:100280000000000000000000000000000303030362
+:100290000202020302020202030303020403030335
+:1002A0000404040400000505000000000000000034
+:1002B000030300000202030302020202030202021D
+:1002C0000303030304030303040404040000000005
+:1002D000000000000000000003030303020202020A
+:1002E00002020202030302020303030304040303E2
+:1002F00000000404000000000000000003030000F0
+:1003000003030303020202020202020203030202C7
+:1003100003030303040404030000000000000000C2
+:1003200000000000030303030202020302020202B0
+:100330000202020203020202030303030000040498
+:10034000000000000000000003030000020303039C
+:10035000020202020202020202020202030302027B
+:10036000040403030000000000000000000000007F
+:100370000303030302030303020202020202020256
+:100380000202020203030303000004040000000051
+:10039000000000000404000003030303020203033F
+:1003A0000203020202020202030202020303030327
+:1003B000000000000000000000000000030304042F
+:1003C0000303030303030303020202030202020204
+:1003D000030302020000030300000000000000000D
+:1003E00004040000030303030303030301030303E3
+:1003F00002020101020202020303030300000000E3
+:1004000000000000000000000404040403030303D0
+:1004100003030303020201030202020203030202B6
+:1004200000000303000000000000000000000000C6
+:1004300000000000000000000000000000000000BC
+:1004400000000000000000000000000000000000AC
+:10045000000000000000000000000000000000009C
+:10046000000000000000000000000000000000008C
+:10047000000000000000000000000000000000007C
+:10048000000000000000000000000000000000006C
+:10049000000000000000000000000000000000005C
+:1004A000000000000000000000000000000000004C
+:1004B000000000000000000000000000000000003C
+:1004C000000000000000000000000000000000002C
+:1004D000000000000000000000000000000000001C
+:1004E0000000000040A7AEF11E0000000000000068
+:1004F00000000000000000000000000000000000FC
+:1005000000000000000000000000000000000000EB
+:1005100000000000000000000000000000000000DB
+:1005200000000000000000000000000000000000CB
+:1005300000000000000000000000000000000000BB
+:1005400000000000000000000000000000000000AB
+:10055000000000000000000000000000000000009B
+:10056000000000000000000000000000000000008B
+:10057000000000000000000000000000000000007B
+:10058000000000000000000000000000000000006B
+:10059000000000000000000000000000000000005B
+:1005A000000000000000000000000000000000004B
+:1005B000000000000000000000000000000000003B
+:1005C000000000000000000000000000000000002B
+:1005D000000000000000000000000000000000001B
+:1005E000000000000000000000000000000000000B
+:1005F0000000000000000000002F2B00003332320A
+:1006000031343533333133333433333434353434B4
+:10061000342A31333300000000322A0000343334EE
+:100620003335353535373535353637353635353576
+:10063000362B3134350000000031290000343433CA
+:100640003335353534393535353838383937363846
+:100650003834333537000000003128000035343499
+:10066000343736353538373636393A393A38383816
+:10067000393335363600000000322D00003636356D
+:1006800035373736363A37383839393A39373838EE
+:10069000392D35373800000000342B000039383749
+:1006A00037393938383B3B3A393B3A3B3B38393AB2
+:1006B000392D35383800000000352900003838372A
+:1006C00036383838383A393938393A3939383839A2
+:1006D000392F34363800000000382D00003A3A39FE
+:1006E000383D3B3B3B3B3C3C3D3B3C3C3C393A3A58
+:1006F0003A2F35363800000000383100003B3B3BD4
+:10070000393D3E3D3B3C3F3E3D3B3B3C3C393A3A2C
+:100710003A2F35363800000000393300003B3C3AB0
+:10072000393D3C3C3B3A3D3D3C393A3A3B3839381F
+:10073000392E35373800000000383500003C3B3A90
+:100740003A3C3C3C3C393D3B3D393A383A38373805
+:10075000382D33353800000000393700003C3C3C70
+:100760003C3D3D3C3C393D3E3C393A3939373638E1
+:10077000392C33353700000000382F000039393864
+:10078000383A3A3939373A3A3B38383838353537E4
+:10079000372C333535000000003B3000003736364B
+:1007A0003C38383837383A383837373738353536CF
+:1007B0003727313435000000000000000000000041
+:1007C0000000000000000000000000000000000029
+:1007D0000000000000000000000000000000000019
+:1007E0000000000000000000000000000000000009
+:1007F00000000000000000000000000000000000F9
+:1008000000000000000000000000000000000000E8
+:1008100000000000000000000000000000000000D8
+:1008200000000000000000000000000000000000C8
+:1008300000000000000000000000000000000000B8
+:1008400000000000000000000000000000000000A8
+:100850000000000000000000000000000000000098
+:100860000000000000000000000000000000000088
+:100870000000000000000000000000000000000078
+:1008800000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF77
+:10089000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF68
+:1008A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF58
+:1008B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF48
+:1008C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF38
+:1008D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF28
+:0B08E000FFFFFFFFFF0645FFFF7F98B2
+:00000001FF