summaryrefslogtreecommitdiffstats
path: root/media/audio
ModeNameSize
-rw-r--r--BUILD.gn9153logstatsplain
-rw-r--r--OWNERS131logstatsplain
-rw-r--r--agc_audio_stream.h8330logstatsplain
d---------alsa476logstatsplain
d---------android523logstatsplain
-rw-r--r--audio_device_name.cc487logstatsplain
-rw-r--r--audio_device_name.h753logstatsplain
-rw-r--r--audio_device_thread.cc7599logstatsplain
-rw-r--r--audio_device_thread.h4362logstatsplain
-rw-r--r--audio_input_controller.cc25543logstatsplain
-rw-r--r--audio_input_controller.h15014logstatsplain
-rw-r--r--audio_input_controller_unittest.cc9840logstatsplain
-rw-r--r--audio_input_device.cc11651logstatsplain
-rw-r--r--audio_input_device.h6779logstatsplain
-rw-r--r--audio_input_ipc.cc341logstatsplain
-rw-r--r--audio_input_ipc.h3673logstatsplain
-rw-r--r--audio_input_unittest.cc7589logstatsplain
-rw-r--r--audio_input_volume_unittest.cc5252logstatsplain
-rw-r--r--audio_input_writer.h615logstatsplain
-rw-r--r--audio_io.h7261logstatsplain
-rw-r--r--audio_logging.h3143logstatsplain
-rw-r--r--audio_low_latency_input_output_unittest.cc15673logstatsplain
-rw-r--r--audio_manager.cc8562logstatsplain
-rw-r--r--audio_manager.h10280logstatsplain
-rw-r--r--audio_manager_base.cc14744logstatsplain
-rw-r--r--audio_manager_base.h7798logstatsplain
-rw-r--r--audio_manager_factory.h884logstatsplain
-rw-r--r--audio_manager_factory_unittest.cc1956logstatsplain
-rw-r--r--audio_manager_unittest.cc12809logstatsplain
-rw-r--r--audio_output_controller.cc12878logstatsplain
-rw-r--r--audio_output_controller.h9787logstatsplain
-rw-r--r--audio_output_controller_unittest.cc11438logstatsplain
-rw-r--r--audio_output_device.cc15248logstatsplain
-rw-r--r--audio_output_device.h9447logstatsplain
-rw-r--r--audio_output_device_unittest.cc10739logstatsplain
-rw-r--r--audio_output_dispatcher.cc931logstatsplain
-rw-r--r--audio_output_dispatcher.h3274logstatsplain
-rw-r--r--audio_output_dispatcher_impl.cc5618logstatsplain
-rw-r--r--audio_output_dispatcher_impl.h3683logstatsplain
-rw-r--r--audio_output_ipc.cc346logstatsplain
-rw-r--r--audio_output_ipc.h5038logstatsplain
-rw-r--r--audio_output_proxy.cc2525logstatsplain
-rw-r--r--audio_output_proxy.h1876logstatsplain
-rw-r--r--audio_output_proxy_unittest.cc24440logstatsplain
-rw-r--r--audio_output_resampler.cc14363logstatsplain
-rw-r--r--audio_output_resampler.h3785logstatsplain
-rw-r--r--audio_output_stream_sink.cc3588logstatsplain
-rw-r--r--audio_output_stream_sink.h2867logstatsplain
-rw-r--r--audio_parameters.cc3546logstatsplain
-rw-r--r--audio_parameters.h7124logstatsplain
-rw-r--r--audio_parameters_unittest.cc11030logstatsplain
-rw-r--r--audio_power_monitor.cc2978logstatsplain
-rw-r--r--audio_power_monitor.h3150logstatsplain
-rw-r--r--audio_power_monitor_unittest.cc9736logstatsplain
-rw-r--r--audio_source_diverter.h1348logstatsplain
-rw-r--r--audio_unittest_util.cc925logstatsplain
-rw-r--r--audio_unittest_util.h1628logstatsplain
-rw-r--r--clockless_audio_sink.cc3772logstatsplain
-rw-r--r--clockless_audio_sink.h1817logstatsplain
d---------cras365logstatsplain
-rw-r--r--fake_audio_input_stream.cc3879logstatsplain
-rw-r--r--fake_audio_input_stream.h2776logstatsplain
-rw-r--r--fake_audio_log_factory.cc1145logstatsplain
-rw-r--r--fake_audio_log_factory.h826logstatsplain
-rw-r--r--fake_audio_manager.cc2588logstatsplain
-rw-r--r--fake_audio_manager.h1653logstatsplain
-rw-r--r--fake_audio_output_stream.cc2052logstatsplain
-rw-r--r--fake_audio_output_stream.h1683logstatsplain
-rw-r--r--fake_audio_worker.cc4832logstatsplain
-rw-r--r--fake_audio_worker.h1913logstatsplain
-rw-r--r--fake_audio_worker_unittest.cc4937logstatsplain
d---------linux50logstatsplain
d---------mac690logstatsplain
-rw-r--r--mock_audio_manager.cc2737logstatsplain
-rw-r--r--mock_audio_manager.h2950logstatsplain
-rw-r--r--mock_audio_source_callback.cc370logstatsplain
-rw-r--r--mock_audio_source_callback.h838logstatsplain
-rw-r--r--null_audio_sink.cc2362logstatsplain
-rw-r--r--null_audio_sink.h1786logstatsplain
-rw-r--r--point.cc1849logstatsplain
-rw-r--r--point.h935logstatsplain
-rw-r--r--point_unittest.cc1246logstatsplain
d---------pulse440logstatsplain
-rw-r--r--sample_rates.cc1102logstatsplain
-rw-r--r--sample_rates.h1019logstatsplain
-rw-r--r--scoped_task_runner_observer.cc1397logstatsplain
-rw-r--r--scoped_task_runner_observer.h1817logstatsplain
-rw-r--r--simple_sources.cc10289logstatsplain
-rw-r--r--simple_sources.h3231logstatsplain
-rw-r--r--simple_sources_unittest.cc2812logstatsplain
d---------sounds535logstatsplain
-rw-r--r--test_audio_input_controller_factory.cc2279logstatsplain
-rw-r--r--test_audio_input_controller_factory.h4508logstatsplain
-rw-r--r--virtual_audio_input_stream.cc6022logstatsplain
-rw-r--r--virtual_audio_input_stream.h4131logstatsplain
-rw-r--r--virtual_audio_input_stream_unittest.cc10089logstatsplain
-rw-r--r--virtual_audio_output_stream.cc2853logstatsplain
-rw-r--r--virtual_audio_output_stream.h2415logstatsplain
-rw-r--r--virtual_audio_output_stream_unittest.cc4199logstatsplain
d---------win1240logstatsplain